โปรแกรมตาม sveditor.sf.net

  • SVEditor ฟรี

    SVEditor เป็นบรรณาธิการที่ใช้ Eclipse สําหรับไฟล์ SystemVerilog และ Verilog มันมีสีไวยากรณ์, ช่วยเนื้อหา, การเยื้องแหล่งที่มาและการเยื้องอัตโนมัติ, และการแสดงโครงสร้าง.